ttth @ ウィキ内検索 / 「FPU関連の質問」で検索した結果

検索 :
  • FPU関連の質問
    FPU・ライブラリ関連の質問等 なんかcollisionして書き込みに失敗することが多かったので、 意見を書き込むところを勝手に分離しました。(buyobuyon) そうですね。確かコンテストルールでは指数部が1~254で表される数と+0が適切に表現されていれば良いのでしたよね(あまりよくルールを読んでいないので間違っているかも…)。あと、-0をどうするかについてちょっと気になっているのですが。-0があると整数と同じ方法で比較が行えないことをすっかり忘れていて、浮動小数の比較命令を用意していなかったのですが…。一般にはどのように扱われているようですか? -- buyobuyon (2006-10-24 22 21 13) 丸め方式は…、詳しくないのでよくわからないのですが。なんか感覚としては、銀行で使われるとk林先生が仰っていたあの丸め方式が、一番誤差が少なくなりそう...
  • tsuy
    ... FPU関連の質問 ●FPU今のところの仕様 entity FPU is   port(SRC1,SRC2  in std_logic_vector(31 downto 0);      FUNC      in std_logic_vector(3 downto 0);      CLK        in std_logic;      START      in std_logic;      FIN       out std_logic;      OUTPUT    out std_logic_vector(31 downto 0)); end FPU;  ・FUNCに対応したcomponentからの出力をOUTPUTに出力  ・STARTはFPUを使用する場合最初の2clock間 1 に、それ以外は 0 ...
  • harry(昔)
    昔のページをここに移動 2月22日 アセンブラで仮想命令movの変換が出来たので、最新版を添付します。 To buyobuyon アセンブラは仮想命令変換が簡単にできるように変えたので、また仮想命令変換があったらどんどん言ってくださいね あのう、buyobuyon氏のページにあるfibのコードをアセンブリにかけたら、 エラーが出てしまったのですが…(We cannot read line 1が大量に。) (yastak) ざっと見たところ、原因の一つはadd r0,r0,r0のようです。 この命令は"000..."(all 0)ですが、all 0の時にエラーをはくことになっているので、 エラーが出たようです。 We cannot read line 1 が大量だったのは、1の部分のところの引数がおかしいのではないかと。 (...
  • tsuy古
    過去の履歴です ●2/24,25  ・atanのテスト終了   -ランダムな100万入力に対してテスト   -仮数部末尾1bit分の誤差のみ許容  ・cosのテストにミス発見   -sinの入力は -2^30 =x =2^30 としたが、cosではこれだと絶対値の大きな数で    仮数部の後ろから5bit分程度の誤差が現れるので、-2^20 =x =2^20とした。  ・invのテスト終了   -以前アップしたプログラムに比べて、初期値の場合わけを増やすことで、浮動小数全体の範囲からの入力を受けられるようにした   -ランダムな100万入力に対してテスト   -仮数部末尾1bit分の誤差のみ許容  ・finvsqrtのテスト終了   -invと同様  ・作ったものについては一通りテストが終わったので、テスト用プログラムと、テストの結果に従って調整したライブ...
  • 中間発表3
    中間発表3 1/29(月)の13 00から214で3回目の中間発表が行われ、 前回の中間発表の時点で立てた計画がうまく行ったか、 うまく行かなかったならどこを直せば良いか、 この機会に評価して必要なら計画を立て直す事をお勧めします。 とのことそうです。 まだ一度も発表資料を作成したことがないので、今回は発表資料を作らせて頂きたいと思います。 まだ十分時間がありますので、27日(土)までにみなさん現在の進捗と今後の予定を書いて頂 いたら幸いです。(harry) ハードウェア ☆制御回路・周辺機器 前回の時点で立てた計画 → まったくもって上手くいっていない。 改善策 → 危機感のLevel UP! 進捗状況 制御回路: パイプラインの全てのステージ(2つ)を書き終えた。 TOPレベルで接続して、ひとまず完成...
  • 過去のお知らせ
    過去のお知らせ 【重要】基板動作確認は19日13 00でいきますか!よろしくお願いします。 【重要】基板動作確認のための日程アンケート 菅原さんにチェックして頂くのはいつが良いですか、という話です。 ファイルがあれば誰でもできるので、最悪一人でも行ければよいわけですが… なるべくみんなが集まれる日が良いだろうと思いまして。 投票したら、一番下の投票済にも一票入れてくださいm(_ _)m すみません、3月中に集まることはないだろうと勝手に判断して17日に帰省する手はずを整えてしまったので、今回は申し訳ないのですが棄権させてください。(tsuy) ↑ファイルも揃っていますし、FPUに関してはある程度把握していますので (タイミングとかはよくわかりませんが)、大丈夫ですよ。 個人的には、計算機構成論の成績のチェックもあるので、できれば早いうちがよいのですが…。 ...
  • tsuy and buyobuyon
    コンパイラ組み込み関数 tsuyさんのページにいろいろ書き込んでいるうちに書き込める量の限界に達してしまったので、 コンパイラに組み込んだ浮動小数点数演算関数に関して、以前私が書き込んだ部分を こちらに移動しました。 ええと、ライブラリの件なのですが、まず、浮動小数点数演算のatan type(fun type(float) - type(float))cos type(fun type(float) - type(float))sin type(fun type(float) - type(float))は完全にお任せします(とりあえずはもうできているのでしたよね)。それから、int_of_float type(fun type(float) - type(int))float_of_int type(fun type(int) - typ...
  • buyobuyon サブページ
    buyobuyonサブページ 作成したプログラムとその説明のためのページです。 ライブラリ関数の一部もこちらに載せておきます。 なお、コンパイラ本体はこちらへ。 外部変数定義用コンパイラ ~bero_glb_0314.tar.gz~ 外部変数定義を解析して、アセンブリコード(ラベルと.word命令の列)に変換するプログラムです。 "beroglb [外部変数定義ファイル名]"とすると、そのファイル名の.mlを.sに変えた名前の ファイルに対応するアセンブリコードが出力されます。 シミュレータ ~simulator_0314.tar.gz~ 多少バージョンアップしました。 デフォルトではうちの班のFPUの挙動を真似たシミュレート関数でFPU演算を行います。 コンパイラやアセンブラの生成したコードをテストしたいだけであれば、 rai...
  • 中間発表2
    12月の第2回中間発表のページです。 コンテストでレイトレを動かすというゴールを成就するために 必要な事のうち、現時点までに完了した事と、これから行わねば ならない事が何か、できるだけ具体的に伝わるようまとめて下さい。 とのことですので、みなさん現在の進捗と今後の予定の書き込みをこのページにお願いします。 とりあえず、12/8(金)24:00を書き込み締切として、12/9(土)24:00までに作成した資料をupします。 それを見て何かあれば12/10(日)、15:0024 00までにこのページに書いて頂いて、発表までに訂正したいと思います。 ここの書き込みをほぼそのまま資料に使う予定ですので、そのつもりでお願いします。 (当初の予定では12月中にレイトレ完動ということでしたが、みなさん間に合いそうでしょうか。 僕は間に合いそうにないのですが…) ...
  • 作業予定&進捗概略
    作業予定 進捗概略 とりあえず作ってみました。あんまりうまく作れなかったけど…。(yastak) これからの予定と、進捗概略を書き込もう! 進捗概略は、ページ閲覧状況もかねているので、Wikiみたら何もしてなくても何か書きましょう~。 個人的スタイルですが、「未定」はテンプレートのまま、「予定があって手がつけられない」は---としてあります。(yastak) Date * buyobuyon * harry * tsuy * yastak * todo did * todo did * todo did * todo did 2006.12.01 (Fri) * --- --- * c d * INV計算法 終了 * usb.ucf完成 .u...
  • buyobuyon
    buyobuyonのぺぇじ コンパイラ…、 ひどく汚いソースなので、不特定多数の人に見られるのは避けたい…、 とはいえアップしないわけにもいかない…。 というわけで、メンバーのみ閲覧可能のページを作成して、そちらにアップしました。 コンパイラのダウンロードはこちらから その他のプログラムのダウンロードはこちらから 残り作業 チェック/デバッグ parse error時の過不足ないメモリ解放 最適化 restore(imm in 変数)→mov(imm) 定数レジスタの設置 遅延スロットとSTOREDの直後のスロットを埋める その他 進行状況 4/30(Mo) rs232c経由でレイトレ完動しました! 細かいところを修正し、BAUDを下げてみたら動きました。 クロック周波数を25MHzにしていることもあり、cosなどの計算で時間がかかり、 入力...
  • 最終発表
    最終発表 コンテストの日が近づいております。 皆様元気ですか? 私は明日の夜から地下に入り浸る予定です。 最終発表資料なのですが、詳細は告知されていないけれど、 とりあえずアーキテクチャとコンパイラの説明とレイトレ画像をのせるような感じになると予想して… 皆様の成果をそれぞれ書き込んでください。 いっぱい書いてね! ただ、進捗報告じゃないからアーキテクチャとコンパイラの概要だけの発表で、 成果は使われなくなるかもしれません…一応欄を作っておきます。 (早く書き込みすぎると損する?) コンパイラ ソフトウェア アセンブラ シミュレータ その他 ハードウェア 基本アーキテクチャ 制御回路 FPU 周辺機器
  • ファイル提出について
    ファイル提出について 実験Ⅱのファイル群提出が最終レポートの代替として課されました。 というわけで。 ディレクトリ構成案を書いてみます。 各自で作ったファイルを各自の責任であげるということでいかがでしょうか? /pub/Seika/2006/4han/... Compiler(buyobuyon) Software Simulator(harry?buyobuyon?) Assembler(harry) Library(tsuy) Others(*) Hardware FPU(tsuy) Others(yastak) CPU(...
  • yastak古
    --- yastak古 --- buyobuyon氏の例にならって、ログページを作成。 作業進行 2007.02.23 そういえば、21日の進度報告を忘れていました。 SRAM初期化→CPU動作の流れは作れました。 fibを動かしていたのですが、プログラムカウンタの動きはいい感じなのに、 なぜか動作してなくて、どうやらALUに原因があることが発覚した、 というあたりで作業終了。 遅ればせながら、本日ALUの構造を書き換えました。 次に学校に行けるのが日曜になりそうなので、その時にテストします。 後、命令フェッチにミスがある感じがするので、 これから修正します~。 日曜って試験日で入校禁止だったりしませんか? -- buyobuyon (2007-02-23 19 45 24) 入構規制はとられるみたいだけど、事務室からのメールからす...
  • yastak
    --- yastak --- とりあえず自分のページを作ってみました。 作業の進行・メモなど書いていこうと思います。 予定概略 レイトレUSB通信 → 失敗…原因不明 → 通信自体はO.K.ぽい → 完動12.5,25MHz シリアル回路 CPUシリアルコントローラを組み込む IOrs232cのコントローラ作成 → コード完了 → コンパイルO.K. → 修正中 → ModelSim用VHDL作成完了 作業進行 2007.03.17 お疲れ様でした。 動作確認のためのアンケートよろしくお願いします。 私がいけないときになった場合に備えて、 トップページに必要なファイル群と使い方をまとめてあげておきました。 rs232cのコントローラのソース(echo除く)を読んでみたのですが、いくらか気になる点があります。upload filesのところにア...
  • harry
    Harry s Page 私も新しいページを作りました。 昔のものはharry(昔)に移動 はりーの掲示板 えーと、みなさんからのコメントを見落とさないように、ページの一番最初の領域を掲示板として使います。 新しいコメントはこの領域の一番上に書いていただくとありがたいです。 ここの領域が大きすぎないように、既に読んだ&解決したコメントはその日のところに移します。 ~~~~~~~~ここから書き込んでくださいね♪~~~~~~~~~ アセンブラの最新版(assem3-15.c),とそれにmin-rt02.sを入力したところ、吐き出した コードファイル(newcode.txt), アセンブリファイル(newout.txt)をアップします。 3月15日(木) アセンブラのコードを綺麗にしました。 最終版をアップします(assem- last.c) ...
  • buyobuyon古
    buyobuyon古 2月より前の書き込みです。 メモもいくらか入っているので念のため残しておきます。 1/27(Sa) なんだかんだで手こずっています…。いろいろ細かいところが気になって…。 とりあえず今日中に仮想マシンコード生成を仕上げて、 明日くらいから試験勉強を始めたいのですが。 そうすると、とりあえず動くコンパイラ(最適化無し)完成が、 試験終了から1週間+α後くらいになると思うのですが、それで大丈夫ですかね? 私も今日EXW書いてしまったら、試験勉強に入るつもりです。(動作テストとかしないままに放置するのはちょっと怖いですが…)で、テスト後に動作テストをしようと思っています~。でも、せいぜいfibくらいなんで大丈夫です☆(i.e.手書きで事足りることくらいしかしないです)FPUを乗せるのはfibが動いてからということで… -- yast...
  • buyobuyon中
    buyobuyon 続き ページに入り切らなくなったので、2月の書き込みを分離しました。 2/26(Mo) min-rtをコンパイルして、MAKECLSが出ないことに気づき、 MAKECLSが出ないはずがない、きっとバグだ!と思ってコードを見直していたのですが、 バグは見つからず…。 逆にmin-rtの方を見直してみたところ、バグではないという結論に至りました。 う~ん、無駄に時間を使ってしまった…。 コンパイラ係用課題は間に合うのか…。 上記の通り、コンパイラをアップしておきました。 ダウンロード、使い方はこちらから。 2/25(Su) ええと、スタックポインタとヒープポインタの初期化を入れ忘れていたので、それを追加。 それだけです。 一応、この前と同じfibをコンパイルしたものを。 INIT; mov r125, 104...
  • @wiki全体から「FPU関連の質問」で調べる

更新順にページ一覧表示 | 作成順にページ一覧表示 | ページ名順にページ一覧表示 | wiki内検索