dist


書式

syntax

constraint_block ::=
                  ...
                    | expression dist { dist_list } ;
dist_list ::= dist_item { , dist_item }
dist_item ::= value_range [ dist_weight ]
dist_weight ::=
                      := expression
                    | :/ expression
dist_item ::=
value_range := expression
                    | value_range :/ expression
expression_or_dist ::= expression [ dist { dist_list } ]

説明

ランダムの発生確率を指定します。

基本


class data;
 rand int foo;
 
 constraint const_foo {
   foo dist {
      100      := 1 ,
     [101:200] :/ 5 ,
     [201:300] := 1
   };
 }
endclass


(特記事項2)

(特記事項3)

戻り値


(ソースコード)

注意

動作確認



関連項目

(リンク)

Top > 言語 > verilog > リファレンス > 構文 > dist
link_pdfプラグインはご利用いただけなくなりました。























-

最終更新:2008年12月05日 10:48