$(関数名)


書式


説明

(特記すべき項目)

戻り値




cat hoge.hex
3D_21_44_49
4D_21_54_44
6D_21_66_92
8D_21_40_99
5D_21_44_22
8D_21_24_29
8D_21_24_88
5D_21_30_89
6D_23_04_99
3D_23_49_90

cat readmemh.sv
program test();
  initial begin
    bit[31:0] RomFile [0:9];
    $readmemh("hoge.hex", RomFile);
    for(int i=0;i<10;i++)begin
      $display("RomFile[%0d]=0x% 016x",i,RomFile[i]);
    end
  end
endprogram

RomFile[0]=0x3d214449
RomFile[1]=0x4d215444
RomFile[2]=0x6d216692
RomFile[3]=0x8d214099
RomFile[4]=0x5d214422
RomFile[5]=0x8d212429
RomFile[6]=0x8d212488
RomFile[7]=0x5d213089
RomFile[8]=0x6d230499
RomFile[9]=0x3d234990

注意

動作確認


チェックボックスにしたい
  • Windows
    • ModelSim

ModelSim

関連項目

リンクを張る

Top > 言語 > verilog > リファレンス > システム関数 > $readmemh
link_pdfプラグインはご利用いただけなくなりました。























-

最終更新:2008年12月12日 22:01