VCSから波形ファイルを出力する

概要

(詳細記述予定)

動作確認

ツール バージョン 結果
NC-Verilog 未確認
VCS-MX 未確認
ModelSim 未確認
Cver 未確認

テストコード

(概要を記述予定)
(実行方法を記述予定)

パタン1

(パタン内容を記述予定)
initial begin
  //verilogテストパタン1
end
 


(実行結果1)

ソースコード


ファイル1

/////////////////////////////////////
  // 波形出力                        //
  /////////////////////////////////////
  initial begin
    //  .fsdb file (verdi)
    //  > +vcsd -P ${NOVAS_HOME}/share/PLI/vcsd_latest/LINUX/vcsd.tab \
    //  > ${NOVAS_HOME}/share/PLI/vcsd_latest/LINUX/pli.a \
    //  > +define+FSDB_ON
    `ifdef FSDB_ON
      $fsdbDumpfile("wave.fsdb");
      $fsdbDumpvars(0,top);
    `endif
    // .vpd file (dve)
    // > -debug_pp +define+VPD_ON
    `ifdef VPD_ON
      $vcdplusfile ("wave.vpd" );
      $vcdpluson();
    `endif
  end
 
(概要を記述予定)

ファイル2

(verilogソースコード2)
 
(概要を記述予定)


Top > 言語 > verilog > Tips > VCSから波形ファイルを出力する
link_pdfプラグインはご利用いただけなくなりました。























-

最終更新:2009年01月21日 17:26