テンプレート - (2010/11/20 (土) 23:52:14) の1つ前との変更点
追加された行は緑色になります。
削除された行は赤色になります。
<p>//同期設計module</p>
<p>module _<br />
(Clock,ClockEn,Reset);<br />
////////////////////////////////////////////////////<br />
// Parameters<br />
<br />
////////////////////////////////////////////////////<br />
// Ports<br />
input Clock,ClockEn,Reset;<br />
<br />
////////////////////////////////////////////////////<br />
// Registers<br /><br />
////////////////////////////////////////////////////<br />
// Net<br />
<br />
always@(posedge Clock or posedge Reset) begin<br />
if(Reset)begin<br />
<br />
end<br />
if(Clock && ClockEn)begin<br />
<br />
end<br />
end<br /><br />
endmodule</p>
<p> </p>
<p>//テストベンチ</p>
<p>`timescale 1ns/1ns<br />
`define CLOCKS 16<br /><br />
module _;<br /><br />
////////////////////////////////////////////////////<br />
// Registers<br />
reg Clock = 0;<br />
reg Reset = 0;<br />
reg ClockEn = 0; <br />
<br />
////////////////////////////////////////////////////<br />
// Net<br /><br />
<br />
////////////////////////////////////////////////////<br />
// Instansiation<br />
<br />
<br />
//Global Reset<br />
/* GSR GSR_INST(.GSR(1'b1));<br />
PUR PUR_INST(.PUR(1'b1)); */<br />
<br />
task Pulse; begin<br />
#1; Clock = ~Clock;<br />
#1; Clock = ~Clock; <br />
end endtask<br />
<br />
initial begin<br />
#1 Reset = 1'b1;<br />
#1 Reset = 1'b0;<br />
repeat(`CLOCKS)Pulse;<br />
$finish;<br />
end<br />
<br />
endmodule</p>
表示オプション
横に並べて表示:
変化行の前後のみ表示: