テキストログのカラー表示

概要

エスケープシーケンスを使ってカラー表示などを行います。
言語よりも環境やOSに依存すると思います。

エスケープシーケンスの詳細については$displayを参照。

動作確認

ツール バージョン 結果
ncverilog 06.11-s004 OK
VCS-MX OK
ModelSim 未確認
ターミナルはktermを使用。

テストコード

文字を下線、赤色で表示。

  1. module test;
  2. initial begin
  3. $display("%s[4munder line%s[0m",8'h1b,8'h1b);
  4. $display("%s[31mcolor=red%s[0m",8'h1b,8'h1b);
  5. end
  6. endmodule
  7.  
















最終更新:2008年11月21日 11:15