bambooflow Note内検索 / 「定義一覧」で検索した結果

検索 :
  • SystemC/定義一覧
    コアとなる言語クラス定義 コアとなる言語クラス定義ヘッダファイル コアクラス一覧 用意されたチャネルクラス定義チャネルクラス一覧 チャネル接続の対応表 ヘッダファイル SystemCを使うには次のヘッダファイルをインクルードする。 #include "systemc.h" #include "systemc.h" #include "systemc" #include "systemc" using sc_core sc_module; using sc_core sc_signal; using sc_core SC_NS; using sc_core sc_start; using sc_dt sc_logic; #include iostream using std ...
  • SystemC
    ...Cのコアとなるクラス定義一覧 エラボレーション・フェーズ RTL記述 デバッグ 遅延モデル サンプル ビットアクセス 検証波形トレース レポート処理について SCV ↓まだまだ、勉強不足でわからないことだらけ。 間違いもあると思うので注意のほど。 TLM-2.0TLM-2.0について TLM-2.0クラス ジェネリック・ペイロード b_transportについて ブロッキング・インターフェースLTモデル Interconnect(ブロッキングI/F LTモデル) その他SystemC関連の歴史 コーディングスタイル(自分用) 用語集 参考ページ SystemCDoxygenマニュアル 関連サーチ Doxygenマニュアル 参考のためDoxygenを使ってみた。なかなか便利かも。 SystemC ver 2.1.v1 SystemC ver 2.2 TLM...
  • SystemC/マクロ
    SystemC マクロ SystemCライブラリのマクロについて、メモ書き。 こんなのがあるよ、っていうのを一覧。とくに説明はかかない。 SC_INCLUDE_FX SC_INCLUDE_DYNAMIC_PROCESSES SC_USE_SC_STRING_OLD このマクロが有効のとき、sc_string を sc_string_old と定義 SC_USE_STD_STRING このマクロが有効のとき、sc_string を std string と定義 SC_FX_EXCLUDE_OTHER SC_STOP_FINISH_DELTA SC_USE_PTHREADS SC_DT_MIXED_COMMA_OPERATORS SC_DT_DEPRECATED SC_ENABLE_OBSERVERS SC_MAX_NBITS SC_SIGNED SC_UNSIGNE...
  • SystemC/モジュール
    SystemCのモジュール定義について(SC_MODULE) SystemCのモジュール定義について(SC_MODULE)モジュール構文SC_MODULEマクロを使わないモジュールの構文 モジュールの構成部品モジュール SC_MODULE SC_MODULEクラスのコンストラクタ SC_CTOR 実行の単位 プロセス(SC_METHOD, SC_THREAD, SC_CTHREAD) モジュール複製の回避 マクロ定義SC_MODULEのマクロ定義 SC_CTORのマクロ定義 SC_HAS_PROCESSのマクロ定義 モジュール構文 SystemCの基本的なモジュール定義はつぎのようになる。 C++があまりよくわからない人は、とりあえずこういうものなんだ、と思っておけばよいかと。 #include systemc.h SC_MODULE( ModuleNa...
  • Programming/Makefile
    Makefileメモ とりあえず、これだけ覚えておけば。 MakefileメモシンプルMakefile マクロの使用 シンボル ソースファイルとオブジェクトファイルのディレクトリを分けてコンパイルする方法 参考 シンプルMakefile CXX = g++ CXXFLAGS = -Wall #CXXFLAGS += -O3 #CXXFLAGS += -g   LDFLAGS =   TARGET = run.x   SRCS = sub.cpp main.cpp OBJS = $(SRCS %.cpp=%.o)   .SUFFIXES .cpp .o   $(TARGET) $(OBJS) $(CXX) -o $@ $^ $(LDFLAGS)   .cpp.o $(CXX) $(CXXFLAGS) -o ...
  • s60/リソースファイル
    リソースファイル リソースファイルファイル名 ビルドプロセス ステートメントSTRUCTステートメント RESOURCEステートメント ENUMステートメント NAMEステートメント リソースファイルはアプリケーションUIに要求される主な要素の定義に使われる。 Symbian OSのリソースコンパイラは独自。 リソースファイルコンパイラはテキスト形式のソースファイルをもとに次を生成する。 アプリケーションの実行形式ファイル バイナリデータファイル ファイル名 ファイル名 説明 AppName.rss アプリケーションのリソーススクリプト AppName_reg.rss アプリケーションの登録ファイル AppName.rls アプリケーションのローカライズ可能な文字列 AppName.loc AppName.rsc 生成さ...
  • SystemC/RTL記述
    SystemCによるRTL記述 SystemCによるRTL記述Verilog-HDLとSystemC記述の対比一覧 RTLから抽象度をあげるには Verilog-HDLとSystemC記述の対比一覧 SystemCでは、はば広い抽象度で記述できる。 RTL記述も可能。 Verilog-HDL記述は、SystemCでは、以下のように(RTL)記述できる。 Verilog-HDL記述 SystemCでは 備考 module M;~endmodule SC_MODULE(M) {~}; モジュール定義 input [7 0] in_data; sc_in 8 in_data; 入力信号 output [15 0] out_data; sc_out 16 out_data; 出力信号 reg [31 0] tmp_reg; sc_uint 32 tmp_reg...
  • SystemC/HelloWorld
    SystemC HelloWorld - 始めの一歩 SystemCライブラリのインストールが完了したら、とりあえず実行できるか確認してみる。 「Hello, SystemC!!!」を表示するプログラム。 ここでは、Linuxインストールで/user/local/systemc-2.2/にインストールしたとする。 SystemC HelloWorld - 始めの一歩プログラム内容 サンプル コンパイル/実行 まとめ プログラム内容 main.cpp #include systemc.h   int sc_main( int argc, char* argv[] ) { cout "Hello, SystemC!!!" endl;   return ...
  • SystemC/抽象度
    SystemCの抽象度(抽象レベル) SystemCの抽象度(抽象レベル)TLM標準化団体ごとの抽象レベル定義OSCIが提唱する抽象レベル OCP-IPが提唱する抽象レベル GreenSocsが提唱する抽象レベル STARCが提唱する抽象レベル その他の抽象レベル TLM標準化団体ごとの抽象レベル定義 OSCIが提唱する抽象レベル PV(Programmers View) PVT(Programmers View with Timing) CC(Cycle Callable) OCP-IPが提唱する抽象レベル OCP/TL3(OCP Transaction Level 3) OCP/TL2(OCP Transaction Level 2) OCP/TL1(OCP Transaction Level 1) OCP/TL0(OCP Transaction Level 0) Gr...
  • ODE/トラブル解決
    ODEトラブル解決 ODEトラブル解決VC++EEワーニングメッセージ:「warning C4305 '引数' 'double' から 'dReal' へ切り詰めます。」と出る。 メッセージ:「stepsize must be 0 (..\..\ode\src\ode 1674)」が表示されてなぜか実行できない。 ODEの上方向はy軸?z軸? VC++EE ワーニングメッセージ:「warning C4305 引数 double から dReal へ切り詰めます。」と出る。 もし、ODEのビルドをDebugDouble**もしくはRealeaseDouble**で行っているならば、コンパイルのプロパティ設定において、 プリプロセッサの定義に"dDBOULE"を追加す...
  • C/memo
    C言語メモ メモリブロックのセット memset関数 書式 #include string.h void *memset(void *buf, int ch, size_t n); 説明 buf の先頭から n バイト分 ch をセットする。 buf を超えてセットした場合の動作は未定義。 引数 void *buf セット先のメモリブロック int ch セットする文字 size_t n セットバイト数 戻り値 bufの値
  • SystemC/用語集
    SysmtemC関連の用語集 高位設計という分野でいろいろ用語があって混乱するので、簡単にめも。 間違いがあるかも。 SysmtemC関連の用語集一般的な用語BCA CAモデル CC ESL設計 GreenSocs OCP-IP OCP/TL0 OCP/TL1 OCP/TL2 OCP/TL3 OSCI OSCI TLM PV PVT RTL SystemC TFモデル TLM UTFモデル 高位合成 高位設計 サイクルベース システムレベル設計 動作合成 トランザクション(transaction) 一般的な用語 SystemCにかぎらず。 BCA 抽象度レベルの1つ。 機能ブロック間を流れる(バス)データのタイミングを正確にとらえたモデル。 バスのタイミング精度は高い。 バスはRTLに近い記述、機能ブロックはタイミングを持たなくてもよい。 BCA:Bus...
  • ODE/manual/ODEのインストールと使い方
    2. ODEのインストールと使い方 2. ODEのインストールと使い方2.1. ODEのインストール 2.1.1. MacOS XにおけるODEの構築と実行テスト 2.2. ODEを使う 2.1. ODEのインストール Step 1 ODEアーカイブを解凍する。 Steps 2-4 (代わり) もし、windows上でMSVCを使用している場合、配給 のVC6サブディレクトリの中でワークスペースとプロジェクトのファイルを使用することができる。 Step 2 GNUのmakeツールを取得する。それはたまにgmakeと呼ばれる が、多くのUnixプラットフォームに付属する。windowsのためのGNU形のバージョンはここで利用可能である。 Step 3 ファイル上の設定を編集する。このサポートされたプラットフォームのリストはそのファイルで与えられる。...
  • SystemC/ユーザデータタイプ
    SystemCでユーザデータタイプを使うユーザデータタイプを使うときの問題 ユーザデータタイプを使うための解決 bool operator==()はなぜ必要? テンプレートを使用したユーザデータタイプの場合 SystemCでユーザデータタイプを使う sc_signal やsc_buffer を介したデータやりとりは、既に定義されたbool,int,sc_int 等は問題なく使うことができます。 しかし、ユーザが独自に構造体を定義して、それを受渡しする場合問題が生じる。 ここでは、sc_signal もしくはsc_buffer でユーザデータタイプを使用する方法をメモします。 ユーザデータタイプを使うときの問題 次のコードを見てください。 struct StrData { bool valid; int data; &#...
  • s60/基本データ型
    基本データ型 型 定義(typedef) TAny void TInt8 signed char TUInt8 unsigned char TInt16 short int TUint16 unsigned short int TInt32 long int TUint32 unsigned long int TUint64 long long TUint64 unsigned long long TInt signed int TUint unsigned int TReal32 float TReal64 double TReal double TText8 unsigned char TText16 unsigned short int TB...
  • Qt/Qt4/スレッドQthread
    スレッドQThread 1つのスレッドを生成。Widget上にボタンを作成してボタンをクリックするごとにスレッドを1ステップ処理させる。 スレッドスタートボタン printf表示 スレッド動作 ↓正しい記述方法かはわからんですが、とりあえず動いたので。 mythread.h #ifndef __MYTHREAD_H#define __MYTHREAD_H #include QThread #include QWaitCondition #include QMutex  class MyThread public QThread { Q_OBJECT public MyThread( QObject *parent=0 ); public slots void active...
  • SystemC/クロックカウンタ
    クロックカウンタを作る ここでは、クロックカウンタを作成することで、モジュール作成、プロセスの作成等について説明する。 クロックカウンタを作るサンプル コンパイル/実行実行結果 モデル説明階層構造 クロックカウンタ・入出力信号 ソースコード内容ClcokCounter.h ClockCounter.cpp main.cpp サンプル clock_counter.tgz main.cpp ClockCounter.h ClockCounter.cpp Makefile コンパイル/実行 $ make == コンパイル $ ./run.x == シミュレーション実行 実行結果 SystemC 2.2.0 --- Jun 28 2008 10 19 12 ...
  • SystemC/SCV/ランダム生成
    SystemC SCVによるランダム生成 メモ書き。 基本的なランダム生成もっとも簡単なランダム生成 配分ランダム生成 重み付けランダム生成 重み付けランダム生成(レンジ指定) 制限付きランダム生成(scv_constraint_baseの使用) もう少し複雑なランダム生成構造体を使ったランダム生成 (応用)構造体+条件付きランダム生成 その他の使い方ランダム生成の停止 分布の指定順列型ランダム生成(RANDOM_AVOID_DUPLICATE) 参照 基本的なランダム生成 もっとも簡単なランダム生成 例:範囲0〜10の整数をランダム生成 // int型のランダム変数data_pを用意 scv_smart_ptr int data_p( "data" );   data_p- keep_only( 0, ...
  • s60/用語
    用語 勉強していてよくわからない用語があったりするのでここにメモしておく。 Symbian OS C++ "Symbian OS" + "ビルドするためのC++(方言有)" + "フレームワーク" をさす。C++の方言の使用はプラットフォームがことなること、リソース制限(メモリ、消費電力)の2つの理由からなる。 Avkon Series 60の拡張およびSymbian OS 6.1 Uikonアプリケーションフレームワークへの変更 CBA Command Button Area(コマンドボタンエリア)ソフトキーにより制御されるツールバー。 UI User Interface(ユーザインターフェース)。 EPOC16(もしくはSIBO) Symbian OSのベースとなった古いオペレーティングシ...
  • linux/vim/設定一覧
    vim設定コマンド一覧a b c d e f g h i j k l m n o p r s t u v w vim設定コマンド一覧 どっかのパクリです。 a オプション 短縮形 形式 内容 aleph al 数値 ヘブライ語アルファベットの最初の文字を表すASCIIコード allowrevins ari 切替 CTRL-_で revins オプションをトグルさせる altkeymap akm 切替 編集モードで CTRL-_ で Farsi と英語のキーボードマップを切り替えられる autoindent ai 切替 新しい行を開始したときに、新しい行のインデントを現在行と同じ量にする autoread ar 切替 外部のエディタで編集中のファイルが変更されたら、自動的に読み直す au...
  • Tcl/proc
    プロシージャ procとreturnの使用 procはC言語の関数のようなもので、同じようにreturnによって値を返す。 procで定義したaddは2つの引数を足し算する。 C言語しかわからない私は、addを使用する側の"[ ]"がなんか慣れない。 プログラム proc1.tcl #!/bin/sh # \ exec tclsh "$0" "$@" proc add { x y } { return [ expr $x+$y ] } set a 10 set b 15 set ans1 [ add $a $b ] set ans2 [ add 53 23 ] puts $ans1 puts $ans2 exit 実行 $ ./proc1.tcl 25 76 procとupvarの使用 up...
  • Maxima/行列操作
    行列作成3x3行列の定義(matrix) 単位行列を作成する(diagmatrix(n,1)もしくはident(n)) m行n列の行列を生成する(ematrix) 配列から行列を生成する(genmatrix) wxMaximaのGUIで生成するEnterMatrixで行列を生成する 行列操作行列を複製する(copymatrix) 行列から指定した列を取り出す(col) 行列から指定した行を取り出す(row) 行列から指定要素を取り出す 行列の行の下にリストを追加する(addrow) 行列の列の右にリストを追加する(addcol) 行列の指定要素の置き換え(setelmx) 演算行列同士の演算 転置行列(transpose) 行列式(determinant) 逆行列(^^-1) 余因子行列を計算する(adjoint) EIGENパッケージの関数ロード(load) 行列作成 3x3行...
  • Programming/Boost/Test/リファレンス
    BoostTestリファレンス BoostTestリファレンス アサーション検査 必須条件の検査 エラーメッセージ 警告メッセージ 実行時設定 アサーション 検査 BOOST_CHECK( expr ); exprが真であることを確かめる. exprが偽だと、エラー報告をログに出力。 BOOST_CHECK_MESSAGE( expr, str ); exprが真であることを確かめる. exprが偽だと、エラー報告とstr文字列をログ出力します. BOOST_CHECK_PREDICATE( pred, arity, args ); BOOST_CHECK_EQUAL( left, right ); left=rightであることを確かめます. 偽のとき、エラー報告を出力します. char*のとき、文字列として比較します. BO...
  • SystemC/VCEE/HelloWorld
    SystemC Visual C++2008 Express EditionでのHello World!!! SystemCライブラリのインストールが完了したら、やっぱりまずはハロー プログラムでしょう。 プロジェクトの作成から設定の仕方、「Hello, SystemC!!!」と表示させるまでの流れをここで説明する。 ここで、SystemCのバージョンは2.2.0で、インストール先はC \systemc-2.2.0として説明する。 SystemCライブラリの生成方法がわからない場合はこちらを参照。 SystemC Visual C++2008 Express EditionでのHello World!!!プロジェクト作成 プログラム準備 プロジェクトの設定(プロパティ設定) SystemCライブラリの追加 コンパイル(ビルド) 実行 もしも、コンパイルでこんなエラーが...
  • SystemC/VCEE/インストール
    Visual C++ 2008 Express EditionへのSystemCライブラリ インストール Windows XPでのVisual C++ 2008 Expres EditionへのSystemCインストールをしてみた。 プロジェクトファイルは(バージョンは違うが)すでに用意されているのでそれを使う。 Visual C++ 2008 Express EditionへのSystemCライブラリ インストールSystemC2.2インストールフォルダ展開 プロジェクトファイル実行 プロジェクトファイルの設定・確認 コンパイル(ビルド) インストール 設定のまとめ SystemC2.2インストール フォルダ展開 C \にsystemc-2.2.0フォルダを展開。 プロジェクトファイル実行 C \systemc-2.2.0\mscv71\SystemCフォルダへ移動...
  • SystemC/動的プロセス
    SystemC 動的プロセスについて メソッドを動的にSC_METHODやSC_THREAD指定することが可能。 普通のモデリング時には必要ないが、応用的なことに使用することがある。 たとえば、デバッグ機能を追加したい、とか、検証機能を強化したい、とか。 以下にその方法をメモる。  SystemC 動的プロセスについて動的プロセスを扱うための準備 使用する関数 動的methodを指定する記述例 動的threadを指定する記述例 動的プロセスを扱うための準備 以下のようにsytemc.hをインクルードする前にSC_INCLUDE_DYNAMIC_PROCESSESを定義する必要がある。 #define SC_INCLUDE_DYNAMIC_PROCESSES #include systemc.h   もしくは、コンパイルオプションの &qu...
  • Maxima/コマンド関数一覧
    コマンド関数一覧 とりあえず、どんなコマンド、変数、関数があるのか調べてみました。 おそらく、裏で実行される関数も多くて、基本で使用する関数はおそらく限られると思います。 コマンド関数一覧コマンドCtrl+G 記号+ 加算 - 減算 * 乗算 / 除算 ^ べき乗 () [] % %c (積分定数) %e (自然対数) %gamma %i (虚数単位) %phi (黄金比Φ) %pi (円周率π) %th (自然対数) Aabs(数) acos(数・式) acosh(数・式) acoth(数・式) acsch(数・式) addcol(行列, リスト・行列) addrow(行列, リスト・行列) additive adjoin(要素、集合) adjoint(行列) algsys allbut(番号,番号, ...) allroots(多項式) antid(G, X, U(X)) ...
  • s60/3rd/helloコマンドライン
    HelloWorld コマンドライン実行(CLI) GUIが主だと思うけど、やっぱりコマンドライン操作は基本だから一通りは覚えておきたいかなと思う。 GUIだと何をやってるのかピンとこなかったりするし。 付属のHelloWorldアプリを基にやり方をまとめてみた。 CLI Command Line Interface HelloWorld コマンドライン実行(CLI)必要なもの バージョン確認コマンドプロンプトを開く Perlのヴァージョンを確認 javaのヴァージョンを確認 build&実行コマンドプロンプト上で作業フォルダを移動 abld build winscwがうまくいかないとき sisファイル作成もし次のメッセージが表示されたら 参考 必要なもの 以下のものがあればとりあえず動く(フリーで)。 ActivePerl 5.6.1 Java Run...
  • SystemC/TLM-2.0
    SystemC TLM-2.0について SystemC TLM-2.0についてはじめに背景 TLM-2.0で重視されていること TLMへの要求 TLM-2コーディングスタイルLoosely-timed(LT) Approximately-timed(AT) TLM-2.0正式版の機能テンポラルデカップリング(Temporal Decoupling) Direct Memory Interface(DMI) TLMインタフェースtlm_fw_transport_if<>(ターゲット側I/F) tlm_bw_transport_if<>(イニシエータ側I/F) インタフェースコールb_transport(FW) nb_transport_fw(FW) nb_transport_bw(BW) get_direct_mem_ptr(FW) invalidate_direct_mem_ptr(F...
  • s60/ディスクリプタ
    ディスクリプタ Symbian OSでディスクリプタ(descriptor)とは、文字列を扱うためのクラス一群のことを呼ぶ。(バイナリも) 文字列操作は標準Cの文字列処理機能は使わずにディスクリプタを使う。 ディスクリプタの分類 リテラルディスクリプタ/プログラムバイナリディスクリプタ(TDesCを継承していないので正しくはディスクリプタではない) スタティックディスクリプタ ポインタディスクリプタ ヒープディスクリプタ ディスクリプタの型 型 定数 名前 Cとの比較 リテラル 変更不可 TLitC static const char [ ] スタック 変更可能 TBuf char [ ] 直接変更不可 TBufC const char [ ] ポインタ 変更可能 TPtr char* 変...
  • SystemC/デバッグ
    SystemCのデバッグ SystemCのデバッグ信号接続(バインド)の失敗について マクロ定義 sc_mainの初期表示 時間表示 モジュール名の表示 プロセス表示 sc_assertの使用 sc_reportレポートの種類 使用例 sc_report_handler モジュール内のレポート記述SC_REPORT_INFO SC_REPORT_WARNING SC_REPORT_ERROR使用例 SC_REPORT_FATAL使用例 信号接続(バインド)の失敗について コンパイルは通ったが、シミュレーションしようと実行したら、次のようなエラーが出た。 Error (E109) complete binding failed port not bound port mod.port_1 (sc_in) In file ../../../../src/sysc/...
  • SystemC/TLM-2.0/ブロッキング・インターフェースLTモデル
    TLM-2.0 ブロッキング・インターフェースLTモデル ブロッキング・インターフェースを利用したLTモデルについて説明する。 ほとんどテンプレート的な説明になる。 TLM-2.0のモデリングはとっかかりがハードルが高いと思われるかもしれないが(わたし自身も含めて)、記述する方法さえわかれば、もしかすると純粋なSystemCよりも実装が簡単になるかもしれない(新たに実装モデルを考える必要がないので)。 注意:ここでは、説明を容易にするため通信部分と機能部分を分離していない。 TLM-2.0 ブロッキング・インターフェースLTモデル概要 イニシエータ側の実装 ターゲット側の実装 トップ(イニシエータとターゲットの接続) 説明 サンプル内容 参考文献 概要 2つのモデル、イニシエータ・モデルとターゲット・モデルを用意する。 ブロッキング・インターフェースを利用...
  • SystemC/チャネル
    チャネル チャネルチャネルとは プリミティブチャネルsc_prim_channelについて 用意されているプリミティブチャネル一覧 チャネルとポートの接続対応表 sc_signalとsc_bufferの違いは? sc_clockは何チャネル? 階層チャネルsc_channelについて まとめ チャネルとは SystemCは、モジュール間の信号通信に"チャネル"という概念を提供して接続する機構を持たせている。 チャネルとモジュール間は、インターフェースとポートを介して接続する。 チャネルはインターフェースを実装(継承)する。モジュール側がそのインターフェースを持ったポートによりチャネルにアクセスすることができる。 Verilogだとwireで信号を接続するが、チャネルはwireのように単純な接続から内部に調停するような機構を持たせた複雑なものも表現できる...
  • Qt/Qt4/Designerを使う
    Qt4でDesignerを使う Qt3からQt4に移行するとき、Designerの使いかたが変わってしまって戸惑いました。 Qt4でのDesignerの使いかたをメモしておきます。 Qt4でDesignerを使うサンプルイメージ 作成するファイル Designerでform01.ui作成 手書きファイル準備form01.h main.cpp コンパイル・実行手順 まとめ サンプルイメージ 作成するファイル 次のファイルを準備すればサンプルイメージのものを作成することができます。 form01.ui Designerで作成 form01.h 手書きで作成 main.cpp 手書きで作成 gui_test01ディレクトリを用意して、その中にファイルを置きます。 (デフォルトでは、ディレクトリ名が実行ファイル名となります。) Designer...
  • ANTLR3/ruby
    rubyでantlr3を使う rubyでantlr3を使うインストール はじめの一歩文法ファイル(.g)作成から実行まで 生成されたファイルをみる 参考ページ SimpleCalc インストール % gem install antlr3 はじめの一歩 文法ファイル(.g)作成から実行まで 次のファイルを準備する。 Hello.g grammar Hello;   start_rule hello WS world WS? EOF;   WS ( | \n )+;   % antlr4ruby Hello.g もしくは % ruby -S antlr4ruby Hello.g 実行すると次のファイルが生成される。 HelloLexer.rb HelloParser.r...
  • ODE/自由落下(CUI)
    自由落下(CUI) 自由落下(CUI)ODEは本当にSI単位系?運動方程式 検証 結果 純粋なODEを知るために、3Dアニメーションなしの簡単なプログラムを用意しました。 下記のプログラムを実行すると、z数値がどんどん小さくなる(落下する)ことが確認できます。 #include ode/ode.h #include stdio.h   static dWorldID world; static dBodyID body0;   int main(int argc, char* argv[]) { dInitODE();   world = dWorldCreate(); dWorldSetGravity( world, 0.0, 0.0, ...
  • ANTLR3/ruby/SimpleCalc
    SimpleCalc 簡単な構文からANTLRの基礎の基礎を固める。 SimpleCalcまずは簡単な足し算器を Tokenのメソッド メモ 足し算と引き算をする まずは簡単な足し算器を SimpleCalc.g grammar SimpleCalc;   options { language = Ruby; }   prog returns [result] r1=INTEGER + r2=INTEGER { $result = $r1.text.to_i + $r2.text.to_i } ;   INTEGER DIGIT+ ;   fragment DIGIT 0 .. 9 ;   WS ( | \t | \n...
  • SystemC/並列処理
    SystemC 並列処理動作について ここでは、SystemCの機能のひとつである並列処理動作について説明する。 2つのプロセスを作り、並列動作を体感してみる。 SystemC 並列処理動作についてサンプル プログラム 実行結果 説明 サンプル multi_process.tgz main.cpp Makefile プログラム main.cpp #include systemc.h   SC_MODULE( MyModel ) { // constructor SC_CTOR( MyModel ) {   SC_THREAD( thread0 ); SC_THREAD( thread1 ); ...
  • s60/3rd/doExampleL
    doExampleL 勉強は、まずはコンソールアプリから始めればよさそう。 CommonFramwork.hを使えば簡単みたい。 使用するときはeuser.libライブラリが必要。 .mmpファイル参照(抜粋) SYSTEMINCLUDE \epoc32\include  ・・・ LIBRARY euser.lib HelloWorld.cpp #include "CommonFramework.h" // do the exampleLOCAL_C void doExampleL() { _LIT(KHelloWorldText,"Hello world!\n"); console- Printf(KHelloWorldText)...
  • SystemC/レポート処理
    SystemCのレポート処理 SystemCのレポート処理レポート使いかた1ー簡単な例 レポート使いかた2ー練習用すべてのメッセージを画面出力させたくないとき リファレンスsc_report_handlersc_actions sc_report メッセージの分類(レベル)一覧 メッセージ動作一覧デフォルトの動作 メッセージ表示用マクロ その他 SystemCのV2.1からレポート出力処理を簡単に制御するためのエラーレポートシステムが標準化されています。 エラーメッセージやトラッキングレポートなど発生元の特定し、デバッグを容易にすることは不可欠です。 メッセージには、Information、Warning、Error、Fatalの4つの種類があるようです。 この4つのメッセージについて出力方法を指定したり、動作を指定したりすることができます。 画面出力やログ出力を簡単に...
  • ODE/質量の設定
    質量の設定 質量の設定質量パラメータ 質量設定Boxに質量を与える Sphere(球体)に質量を与える Cylinderに質量を与える Calsuleに質量を与える Trimeshでの質量設定 その他 メモdMass構造体(全体) エラーについて 物体に質量を設定する方法について説明します。 質量パラメータ 質量は、次のdMass構造体で定義されています。 struct dMass { dReal mass; // 剛体の全質量 dVector4 c; // 重心(x,y,z) dMatrix3 I; // 3x3慣性テンソル };   3x3慣性テンソルは、物体がその場所に止まろうとする力に影響を与えます。 ここでは、数学的な話しはとばします。 ODEでは、これらパラメータを直接触...
  • ODE/manual/衝突検出
    10. 衝突検出10.1. 接触点 10.2. Geoms 10.3. space 10.4. 一般geom関数 10.5. 衝突検出10.5.1. CategoryとCollideビットフィールド 10.5.2. 衝突検出関数 10.6. space関数 10. 衝突検出210.7. Geometry Classes10.7.1. Sphere Class 10.7.2. Box Class 10.7.3. Plane Class 10.7.4. Capped Cylinder Class 10.7.5. Ray Class 10.7.6. Triangle Mesh Class 10.7.7. Geometry Transform Class 10.8. User defined classes 10. 衝突検出 ODEは2つの主要なコンポーネントを持っている:動力学シ...
  • SystemC/固定小数点
    SytemCで固定小数点 固定小数点の使い方についてメモしておく。 忘れっぽいので。。。 IEEE1666のLRMを見るとグラフつきでわかりやすいかも。 SytemCで固定小数点 種類 テンプレート・パラメータ量子化モード(誤差)SC_RND SC_RND_ZERO SC_RND_MIN_INF SC_RND_INF SC_RND_CONV SC_TRN (デフォルト) SC_TRN_ZERO オーバーフローモードSC_SAT SC_SAT_ZERO SC_SAT_SYM SC_WRAP (デフォルト) SC_WRAP_SM 固定小数点のコンパイル 使い方例オーバーフロー有無の確認 固定小数点 == sc_uint変換 種類 符号付固定小数点sc_dt sc_fixed W, I, Q, O, N 符号なし固定小数点sc_dt sc_ufixed ...
  • SystemC/TLM-2.0/b_transport
    TLM-2.0 b_transportについて TLM-2.0 b_transportについてb_transportについて LTモデルのb_transportの簡単な記述例(時間無し)ターゲット側 イニシエータ側 注意点 b_transportについて void b_transport( tlm_generic_payload , sc_time ) b_transportはイニシエータからターゲットにデータ転送するときに使用するI/Fの関数。 b_transportはtlm_blocking_transport_ifの純粋仮想関数。 tlm_fw_transport_ifはこのtlm_blocking_transport_ifを継承しているので、tlm_fw_transport_ifを使ってインターフェースを準備するにはb_transportの定義が必要となる。...
  • Programming/cmake
    更新日 2011-01-28 cmake cmakeLinux編単純なC言語のコンパイル cmakeの設定リスト cmakeのヘルプ Linux編 単純なC言語のコンパイル コンパイルしたいソースコードを用意します。 test.c #include stdio.h   int main(int argc, char* argv[]) { ptinrf("Hello World!!!\n");   return 0; }   CMakeLists.txtを以下のように準備します。 CMakeLists.txt ADD_EXECUTABLE(runx test.c)   % ls CM...
  • SystemC/基本データタイプ
    SystemCの基本データタイプ SystemCの基本データタイプ基本データタイプ一覧 キャスト(データタイプの変換) 変換一覧(to_xxx)to_stringの使い方 coutで表示させたい場合 printfで表示させたい場合 sc_stringがない? SystemCでは、標準C++のデータ型に加えて以下のようなデータ型が扱える。 基本データタイプ一覧 データタイプ 符号 説明 使用例 sc_int<W> 付 Wビット整数 sc_int 16 a = -123; sc_uint<W> 無 sc_uint 32 addr = 0xdeadbeaf; sc_bigint<W> 付 Wが64ビット以上の整数 sc_biguint<W> 無 sc_bit - 0 , 1 ...
  • linux/vim
    Vim VimかEmacsかって言われたら、やっぱVimだよね。 使いはじめは操作が慣れないけど、なれてしまえばVimほど使いやすいエディタはないと思うよ。 個人的に好きなコマンドは"gd"で文字列選択! vimrc設定 Tips拡張子でタブ幅を変更 バックファイルが作られないようにする コピーペースト(貼りつけ)する方法 Tabで自動補完 リファレンスコマンド vim設定一覧 自分用の設定ファイルは一番下のvimrc添付ファイルを参照。 自分でもよくわかっていないので参考程度にどうぞ。
  • ODE/manual/ジョイントの種類とジョイント関数
    7. ジョイントの種類とジョイント関数 7. ジョイントの種類とジョイント関数7.1. ジョイントの生成と破壊 7.2. 様々なジョイント関数 7.3. ジョイントパラメータを設定する関数7.3.1. ボールとソケット 7.3.2. ヒンジ(hinge) 7.3.3. Slider 7.3.4. Universal 7.3.5. Hinge-2 7.3.6. Fixed 固定 7.3.7. Contact 接触 7.3.8. Angular Motor 角度モータ 7.4. General 7.5. Stop and motor parameters ストップとモータパラメータ7.5.1. Parameter Functions 7.6. Setting Joint Torques/Forces Directly 7.1. ジョイントの生成と破壊 dJointID dJointCr...
  • ODE/インストールVC++2008EE
    Visual Studio C++ 2008 Express Edition(VC++2008EE)でODE インストール方法を紹介。 Visual Studio C++ 2008 Express Edition(VC++2008EE)でODEVC++EEインストール ODE準備プロジェクト作成 ビルド ODEライブラリのインストール(ライブラリのコピー) 試しにサンプルをコンパイルしてみる VC++EEインストール まずはVC++EEをダウンロード。(無償です) http //www.microsoft.com/japan/msdn/vstudio/Express/ オンラインでインストールする、もしくはオフライン(DVDイメージ)でインストールする方法があるようです。 ODE準備 下記のリンクからODEをダウンロード。 http //sou...
  • ANTLR3/Grammar Options
    ANTLR3 Grammar Options まだよくわかっていないオプションが多い。 わかった時点で記載していく。 たとえば次のような感じで設定する。 options { language = Ruby; memoize = true; output = AST; backtrack = true; }   オプション一覧 オプション 説明 language 生成するターゲット言語を指定、c,java,ruby,etc. tokenVocab 構文木生成に使用したトークンを指定 output 生成されたパーサが返す出力タイプ, ASTまたはtemplate ASTLabelType TokenLabelType superClass filter rewrite falseまたはtrue,(デフォルトはfal...
  • @wiki全体から「定義一覧」で調べる

更新順にページ一覧表示 | 作成順にページ一覧表示 | ページ名順にページ一覧表示 | wiki内検索

記事メニュー
目安箱バナー