perl

ネット私物化内検索 / 「perl」で検索した結果

検索 :
  • perl
    ...ANDLE); perlで符号付き型変換 # 16進を符号付き10進に変換する sub dec2dec() { my $val = shift; my $bit = shift; # 範囲確認 #if ( ($val (2**($bit-1)-1)) or ($val -(2**($bit-1)))) { # die "$valは指定されたビット数$bitでは2の補数表現ができません。?n"; #} if($val (2**($bit-1)-1)) { # 負値なら return $val - (2**$bit); }else{ # 正値なら return $val; } } 改行コード0x0Dを付けない Windowsでは、改行コードが0x0...
  • 数学
    ... もう1つperlでフィルタリングツール(n次まで)を作りました。 IIR4BPF 名前 コメント
  • メニュー
    ... TeraTerm perl cgi-bin cygwin GPIB Office CPLD/FPGA microsemi STK ImageJ VHDL OSポーティング FTDI XBee 改善提案 企画提案 購入/見積メモ ISO OA 雑学 備忘録 検図 電子部品 トルク値 不具合 名言集 Other/Link NRLMSISE 様々なモデル 物性値(光学特性) 物性値 元素一覧 応力計算 ネット基礎知識 VC++ライブラリ リンク名 輸出入規定 OPEN GL シキノート kamonama@Blogger ここを編集 Total: - Today: - Yesterday: - 前月 2024年2月 翌月 日 月 火 水 木 金 土         1 2 3 4...
  • 指標
    ...ン分散による評価 perlプログラム 名前 コメント
  • Gnuplot
    ... Gnuplot+perlなどで大量のグラフを作成し、動画に変換したい場合がある。その場合はto_aviを使うと良い。 perlスクリプトも参考までに Gnuplot+Windows Windows用のGnuplotをインストール(もしくは解凍)し、適当な場所におく。スクリプトファイルの拡張子は***.gpとし、.gpを登録する(アプリケーションをGnuplotで指定する)。すると、スクリプトファイルをダブルクリックするだけで、実行される(便利)。 よく使うテンプレ set term png #set term windows set size 0.6,0.6 set datafile separator "," set lmargin 10 set bmargin 3 set rmargin 2 set tmargin 1 set out...
  • VC++
    生成するexe名の変更 ときおりプロジェクト名ではなく任意の名前でexeを生成したいときがある。その場合は、下記のように変更することができる。 プロジェクトの [プロパティ ページ] ダイアログ ボックスを開きます。詳細については、「方法 プロジェクト プロパティ ページを開く」を参照してください。 [リンカ] フォルダをクリックします。 [全般] プロパティ ページをクリックします。 [出力ファイル] プロパティを変更します。 エラーメッセージ プリコンパイル ヘッダー ファイルが旧バージョンのコンパイラで作成されています。また、C++ のプリコンパイル済みヘッダー ファイルを C で使用しています (その逆も考えられます)。 cppに、cのソースコードをいれていると上記メッセージが表示される。 ファイル拡張子をcppに変更する float(IEEE754)のデコ...
  • VC++(MFC)
    デバッグ出力 簡易的にデバッグする際は、非常に便利。 ただし、スレッド等を使用している中で使うとエラー?で落ちることもある。 str.Format(_T("kind = 0x%02X\n"),m_uc_Buf[0]); OutputDebugString(str); MFCでクラス間をまたぐグローバル変数 MFCで画面(クラス)を複数作る場合、クラス間のグローバル関数を作ると便利(オブジェクト指向とは・・・)である。以下にやり方をメモする。 hoge.h(hogeDlg.hではない)に変数を定義する 使いたい別のクラス内で以下を宣言 ChogeApp* pApp = (ChogeApp*)AfxGetApp(); pApp- hogehoge; winsock2で切断検知の方法 ノンブロッキングでパケットを受け、selectのタイムアウト機能...
  • OpenGL
    VC++(MFC)とOpenGL MFCとOpenGLの親和性は高い。逆にMFCとDirectXの親和性は低く、現在のバージョンにおいて、MFC+DirectXの組み合わせは難しい。理由としては、そもそもDirectX自体がMFCを前提としなくなったこと。SampleからMFCtex(MFC+DirectXのサンプル)が消えている。MFCtexがあるバージョンは、DirectX9(2003)のようだが、探した限りではMicrosoft上には見当たらなかった(仮にあったとしてもVC++6のものとなる。VC++2005では、そのままコンパイルできない)。 OpenGLのインストール 1.GLUTのダウンロード 下記サイトから"glut-3.7.6-bin.zip"をダウンロードし、適当なフォルダに解凍する。 http //www.xmission.com/~na...
  • Footprint
    基板加工機覚書 VIAサイズ No 品目 備考 1 60c36d 基板加工機での標準サイズ 2 78C48D よく使う26pinコネクタのサイズ 3 Hole3_5 ネジ用 ドリルのとめイモネジ M3 pitch0.5mm メカニカルシンボル Setup User Preference...を選択する Paths Libraryを選択する psmathを選択し,Valueでシンボルがあるフォルダを指定する OKを押す 画面に戻って「Place Manual」を選択する 「PlacementList」のリストボックスで「Mechanical symbols」を選択する 「Advanced Settings」タブを選択し,「Library」をチェックする 再び「PlacementList」タブに戻ると,メカニカルシンボルが選択できるようになる バス配線 バス配線は、各配線とは別にバ...
  • XBee
    XBeeについて 趣味と仕事でXBeeを扱ったので備忘録を書いておく。 XBeeはDigi社が開発/販売している製品 ZigBeeは通信規格(プロトコル) ZigBeeをOSI構造で表記すると以下のようになる。ZigBeeは物理層とデータリンク層に相当する部分はIEEE802.15.4を採用しており、それより上位のレイヤーにZigBeeプロトコルが在る。通常、XBeeを取り扱っている限りにおいては、アプリケーション層以外のインタフェースは意識しなくても取り扱えるよう設計されている。 No OSI WiFi ZigBee 7 Application Any Any 6 Presentation Any Application support layer(ZigBee) 5 Session Any Application support layer(ZigBee) 4 Tran...
  • PIC
    ここは、PIC18F2550/4550の覚書ページ。環境は以下を想定している。PIC18FはそこそこのCPUパワーに多数のペリフェラルが付いており使いやすい。また、秋月等で販売されており、低価格で入手性も良い。 開発環境:CSS-C(C言語) ライタ:PIC Programmerv4 Beta ほとんどは、CSSに付属しているサンプル(Example)で実現できる(サンプルが優秀で使いやすい)。 PIC16F883のRB I/Oポート問題 RB5を入力設定にしたのに常に0にしかならない。 よくよく調べるとRB6,RB7しか反応せず(1を入力すると1を返す)、RB0~RB5は無反応であることが分かった。 原因は、RB0~RB5がアナログ入力になっていたこと。 CCSでは、AN7までしかサポートしていない?ためか、ADCの設定をDISにしてもRB0~RB5はD...
  • STK
    STKに関するあれこれ センサ視野の色を塗る、重ねて表示する 通常は線だけの視野の中を塗ることが出来る。方法は、 センサ プロパティ 2D Graphics Attributes Fillにチェックする カメラのように連続でなく離散的に撮像するようなセンサは、連続表示ではなく離散表示したい場合がある。 そのような場合は、以下のようにすると良い。 センサ プロパティ 2D Graphics projection Persistence timeを適当な時間に設定する(例えば、10sec分とか) Fill Persistenceセンサ枠を塗る場合はチェックする 離散間隔は、STKの時間間隔の設定で調整する 各パスの軌道ラインを表示する方法 デフォルトでは1周のパスしかSTKは表示しないので、複数周回のパスを表示するには以下 オブジェクト衛星を選択し、プロパティ 2D G...
  • C8051
    C8051F410について 下記は、すべてC8051F410+SDCC(コンパイラ)を前提にしている。非常に早いマイコン(24.5MIPS、裏技では50MIPSでも動くらしい??)であり、簡単なシステムには十分過ぎる処理能力を持っている。が、いかんせん内部ROMが少なく、中規模なソフトウェアを書くとすぐに一杯になってしまう。大きなシステムなどには向かないかもしれないが、趣味や小~中程度のシステムには十分な性能だと思う。日本で流行らない理由は、関連文書が少ないことと秋月で取り扱ってないからだと思う。文書は1冊だけ出ている。 デフォルトでは、Keilと呼ばれる純正コンパイラがインストールされているが、無償版では5kbyte?の容量制限があるため、フリーであるSDCCコンパイラを使用する(レジスタ名などは基本的にKeilと同じ、ただKeilにはある変数型などが一部SDCCには無い。その...
  • cygwin
    シェル上からディレクトリを開く シェル上から現在のディレクトリをGUIで開きたい場合 cygstart . bashrcテンプレ # base-files version 3.7-1 # To pick up the latest recommended .bashrc content, # look in /etc/defaults/etc/skel/.bashrc # Modifying /etc/skel/.bashrc directly will prevent # setup from updating it. # The copy in your home directory (~/.bashrc) is yours, please # feel free to customise it to create a shell # environment to your...
  • TeraTerm
    LM74テスト ; sample macro of Tera Term ; ; File LM74.ttl ; Description ; Environment generic ; Update 2011/08/19 ; Author uson ;------------------------------------------- connect /C=6 pause 1 send 1 pause 1 send 1 pause 1 ; 7回繰り返す。 i = 7 while i 0 i = i - 1 send CHCLDYRRRRCH send 13 pause 1 send 1 pause 1 if i = 0 then send 1 pause 1 send 1 i = 7 pause 2 else pause 3 endif ...
  • MPI
    環境 Scientifix Linux 7 マシンは、適当なもの2台以上用意 Intel fortran mpich PHITS(をMPIで走らせたい) mpichのインストール IntelFortranなどの他のコンパイラを使用する場合は、tar玉からオプション指定でインストールすると良い。 gfortran(標準gcc)の場合は、apt-getとかの方が簡単 以下でインストールディレクトリを指定。 指定しなくても大丈夫だけど、どこにインストールされるかよく分からないので、今回は指定している。 gfortranの場合は、 ./configure --prefix=/usr/local/mpich インテルfortranの場合は、以下。 ※インテルの場合は各マシンにもインテルfortranをインストールする必要がある。実行時にインテルfortranのライブラリ...
  • ZedGraph
    ZedGraphとは? ZedGraphは、.Net系の言語で使用することができるグラフィックライブラリで、現状はC#やVB.netで使用できる。 もちろん、インタフェースはDLLなので、がんばればC++でも使用できる。 ZedGraphの入手 公式は、この辺? でアクセスして、DLLをGETする(本サイトにもこっそりバックアップ)。 ZedGraphのインストール .Net系の画面設計にて、[ツールボックス]上で右クリックの[アイテムの選択]を選択し、「参照」ボタンを押して、DLしたDLLファイルを指定すればOK ツールボックスにZedGraphcontrolがインストールされるので、画面に配置する。 ZedGraphのサンプル 配置したZedGraphControlが「ZedGraphControl1」の場合 Imports ZedGraph Dim...
  • FTDI
    FTDIのSYNC-245-FIFOモードでのPCとの通信 参考サイトとしては、ココが良い。 アプリ FT2232HのEEPROM設定変更 10Mbpsの通信治具を作る必要があり、FT2232HでのUSBダイレクト接続 アプリケーション開発を試みる。基板から起こすのは大変なので、ストロベリーリナックスの「 FT2232H(2ch)高速USBシリアル変換モジュールキット」を購入した(込み込みで\4000)。 通常、FT2232Hは仮想COM 2chとして認識されるが、COMポートだとオーバーヘッドのため、10Mbpsを実現できない。 FTDIのEEPROM設定には、通常「MProg」というユーティリティを使用する。この辺はトラ技のUSB特集を参考にするといい。MProgで設定書き込みを試みるがデバイスがFT2232Cしかない。上位互換かと思い、FT2232Cのデ...
  • PIC32MX
    開発環境移行の問題 PIC32MXを遊びたくて、MPLABXの開発環境に移行しようとしたところ、pickit3で以下の問題が発生した。 pickit3 programmerで、PIC18Fや24Fは書き込みできるが MPLABではpickit3が接続できない MPLAB IPEでもpickit3が接続できない ネットを色々調べたところ、似たような境遇の人が一杯いることが分かった。 解消方法としては、 USBケーブルを変える といっても純正品(赤色)を使ってもダメ、もっと短いモノにしてもダメ pickit3のファームウェア(ROM)が壊れている ここを参考にして修正を行うがダメ MPLABのバージョンを色々変えてみる これもダメ もうpickit3が壊れているのかと思ったが、古いPICはpickit3 programmerで普通に書き込みできることから違う...
  • C
    CRC CCITT 16bit private ushort CRC_CCITT_LSBfirst_ALL(ushort len, byte[] bufp) { int i,j; byte ch = 0x00; ushort crc = 0xffff; ushort POLY = 0x8408; for(j = 0;j len;j++) { ch = bufp[j]; crc ^= (ushort)ch; for (i = 0; i 8; i++) { if((crc 0x0001) == 0x0001) { ...
  • プラグイン/ニュース
    ニュース @wikiのwikiモードでは #news(興味のある単語) と入力することで、あるキーワードに関連するニュース一覧を表示することができます 詳しくはこちらをご覧ください。 =>http //atwiki.jp/guide/17_174_ja.html たとえば、#news(wiki)と入力すると以下のように表示されます。 「Wiki」創設者のPC 競売に - auone.jp 篠原悠希×田中芳樹が明かす「歴史ファンタジー小説ならではの悩み」(現代ビジネス) - Yahoo!ニュース - Yahoo!ニュース 【Apex Legends】ヴァルキリーの能力と評価【エーペックス】 - Gamerch(ゲーマチ) 【白夜極光】最強キャラランキング【Tier表】 - AppMedia(アップメディア) 白夜極光攻略wiki - AppMedia...
  • H8
    H8について 本ページは、基本的にH8/3694(Tiny)についての記述になります。秋月のH8/3694Fキットが使いやすい。 回路図サンプル H8開発環境 オフィシャルな環境としては、ルネサスのHEW 趣味開発としては、GCC Developer Liteが良い H8/Tiny@GCCでは実数計算(float)がおかしい? (double)でキャストするか、整数×1000などで対応した方がよいかも LEDチカチカ LED:サンプル 外部割り込み irq:サンプル I2C I2C:サンプル A/Dコンバータ ADC:サンプル Timer TimerVとAのサンプル Timer:サンプル UART UART:サンプル UART(これはH8/3048F用) サンプル 外部割込み //-----------...
  • ImageJ
    ImageJでのパンシャープン処理の方法 位置合わせを行ったPa画像とMu画像を用意する。 両方の画像ともHSI(HSB)チャンネルに変換する。 MuのIチャンネルをPaのチャンネルと入れ替える。 MuのHSIをRGBに戻す。 パンシャープン処理完了 ImageJでのモザイク処理(画像結合) ImageJはプラグインを追加することで、モザイク処理を行うことが可能となる。 まず、必要なプラグインは以下の2つ。以下をDLし解凍する。TurboRegが画像の位置合わせの処理をしている模様。 MosaicJ TurboReg ImageJのプラグインフォルダに解凍した*.jarファイルを入れる。 ImageJを起動する。 プラグインメニューから「MosaicJ」が選択できるので、選択起動する。 モザイク処理を行いたい画像を複数選択する。 手動で位置を合わせる。(自動化はできない)...
  • program
    仮想COMソフト PC内部で仮想のCOMポートを作ることができるソフトウェア。 フリーウェアでおすすめは、これ。 同類ソフトであるVirtualComPort2(検索ではこれが一番上の方にくる)は、残念ながらフリーでは5分しか動作しない。 VC++で純粋なC言語に近いプロジェクトにするには WIN32のコンソールアプリのプロジェクトで作成する 作成するとint _tmain(・・・)になっているので、これをint main()に修正する 次にメニュのプロジェクト- hogeのプロパティを選択する 構成プロパティ- C/C++- プリコンパイル済みヘッダーを選択する プリコンパイル済みヘッダを使用しないを選択する プリコンパイル済みヘッダーファイル「stdafx.h」と書かれているので消す 適用をクリック プログラム画面に戻り、#include stdafx.h の行を削除 コ...
  • LaTeX
    LaTeXテンプレ テンプレートTexファイル 割り付けシート 割付2枚 割付3枚 割付4枚 割付6枚 割付8枚 レジメ用テンプレ スタイルシート Texファイル 共通コマンド % 表の改行幅変更 \renewcommand{\arraystretch}{1.0} % 数式モード簡略入力 \newcommand{\ds}{\displaystyle} \newcommand{\om}{\omega} \newcommand{\pl}{\partial} \newcommand{\ep}{\epsilon} \newcommand{\bE}{\bm{E}} \newcommand{\bD}{\bm{D}} \newcommand{\bn}{\bm{\nabla}} \newcommand{\half}{\frac{1}{2}} \n...
  • VHDL
    何かの役に立つかも知れないHDLコード達... テストベンチ クロック源とリセット信号 テストベンチ用のクロック源とリセット信号(負論理) 周波数は、適当にHDLを変更してください。 クロックとnリセット信号 クロック源、リセット信号、シリアル信号 テストベンチ用のクロック源とリセット信号(負論理)とシリアル信号 クロックと共にシリアル信号を出力できるテストベンチ。シリアル信号の内容は適宜HDLを変更してください。 クロックとnリセット信号とシリアル信号 RAM テストベンチ用のRAM(SRAM相当) RAM USER_RAM SDRAM テストベンチ用のSDRAM SDRAM textio テキスト出力用のテストベンチ CLKの立ち上がりに同期して入力されている値をテキスト出力する。 ポートと...
  • プラグイン/動画(Youtube)
    動画(youtube) @wikiのwikiモードでは #video(動画のURL) と入力することで、動画を貼り付けることが出来ます。 詳しくはこちらをご覧ください。 =>http //atwiki.jp/guide/17_209_ja.html また動画のURLはYoutubeのURLをご利用ください。 =>http //www.youtube.com/ たとえば、#video(http //youtube.com/watch?v=kTV1CcS53JQ)と入力すると以下のように表示されます。
  • プリンタポート
    プリンタポート制御 パラレルポートのアドレスを調べる。 アドレスを調べるには、OSがWINDOWSならシステムのデバイスマネージャのプロパティからでも 値をみることができる。また、BIOS上からでも値を調べることができる。 通常のPC/AT互換機であるなら、パラレルポートのアドレス値は、0x378  Windows9x系 OSが9xであるなら、Cを使って簡単にパラレルポートを制御することができる。 使う命令は、_inp() _outp() 等 \#include stdio.h \#include conio.h int main(){   int i;   scanf("%d", i);   _outp(0x378,1);   _getch();   return 0; } WindowsNT系 9xでは...
  • プラグイン/アーカイブ
    アーカイブ @wikiのwikiモードでは #archive_log() と入力することで、特定のウェブページを保存しておくことができます。 詳しくはこちらをご覧ください。 =>http //atwiki.jp/guide/25_171_ja.html たとえば、#archive_log()と入力すると以下のように表示されます。 保存したいURLとサイト名を入力して"アーカイブログ"をクリックしてみよう サイト名 URL
  • トップページ
    2021年12月06日 (月) 10 06 21 ネット私物化 ここは、個人的なメモ/備忘録を記録していくサイトです。 当サイト(ネット私物化)の記事により発生した障害・損害・不利益・不都合などのリスクについては、 無保証で当方では一切の責任を負えません。自己責任において実施をお願いします。 人気のページ Gnuplot (12472) VC++ (12341) トップページ (11905) その他 (10377) FTDI (7015) VC++(MFC) (6880) 数値解析 (6834) CPLD/FPGA (5047) OpenGL (5010) メニュー (4818) 電卓置いておきますね ネット私物化 ...
  • 衝撃
    Shock Response Spectrum (SRS) 解析 ある供試体が受けた衝撃に対するダメージポテンシャルを評価解析する手法の1つ。試験する供試体が、あらゆる固有振動数をもった物体から構成されていると仮定し、これを数学モデルに置き換え衝撃に対する応答を固有振動数ごとに計算し、その最大値を周波数軸上にプロットしたもの。 SRS参考資料 衝撃応答スペクトル SRS解析ソフトウェア プログラム&マニュアル 海外サイトですが、SRSの詳しい説明が書かれていますので、リンクを貼っておきます。 また、もう無くなってしまいましたが、かつてあった日本語のサイトを貼っておきます。問題になりそうであれば消します。 ...
  • その他
    TeraStationがファームウェアエラー(E04)で壊れた際の復旧手順 TS-1.6TGL/R5が故障した際の覚書を記録しておきます。 発生したエラーはE04(ファームウェアエラー) Beep音と共にErrorランプが点灯していた。 マニュアルにのっとり、TeraStationを再起動したところ、エラーは消えたが、 何やらEM(エマージェンシーモード)になったらしくファイルへのアクセスができない状態となった。 この状態からの復旧手段は、メーカ修理かファームウェアのアップデートしかないとのこと。 さっそくファームウェアのアップデートを試みた。 サポート窓口 よくある質問集 トラブルシュート ファームウェア ※ファームウェアは、型番によって異なります。対応したものを使用してください。 TeraStationとPCはダイレクトに繋いだ方...
  • OA
    TeraStationがファームウェアエラー(E04)で壊れた際の復旧手順 TS-1.6TGL/R5が故障した際の覚書を記録しておきます。 発生したエラーはE04(ファームウェアエラー) Beep音と共にErrorランプが点灯していた。 マニュアルにのっとり、TeraStationを再起動したところ、エラーは消えたが、 何やらEM(エマージェンシーモード)になったらしくファイルへのアクセスができない状態となった。 この状態からの復旧手段は、メーカ修理かファームウェアのアップデートしかないとのこと。 さっそくファームウェアのアップデートを試みた。 サポート窓口 よくある質問集 トラブルシュート ファームウェア ※ファームウェアは、型番によって異なります。対応したものを使用してください。 TeraStationとPCはダイレクトに繋いだ方...
  • microsemi
    microsesmi社製FPGAについて ここでは、極めて知名度の低い(一部業界では極めて高い)microsemi(旧ACTEL)社のFPGAについて取り扱います。 非常に資料(日本語はさらに少ない)が少ないため、少しでも広めることが出来ればと思い、ココに残します。 1. 開発環境の構築について microsemi社の開発環境の構築方法について 参考資料 2019年版 2. LiberoSocによる開発方法 LiberoSoCによるFPGAの開発方法について 参考資料1 参考資料2 3. CoreABCの実装 coming soon 4. Core8051sの実装 Core8051sIPコアの使い方 参考資料 USER_RAM USER_ROM ↓LiberoSoc v11.8のプロジェクトファイル分割 RTL1 RTL2 ...
  • Office
    工数集計にて 全工数から特定のフラグを見て、残業工数を算出するには、SUMIFが便利 Excel印刷範囲以外をグレーに 印刷範囲を設定してから「印刷プレビュー」→画面の上の方にある「改ページプレビュー」 元に戻すときには「印刷プレビュー」→画面の上の方にある「標準」 2010で貼り付けオプションボタンを消す [ファイル]タブ  −[オプション]をクリック  ↓ [Wordのオプション]ダイアログ  −[詳細設定]をクリック  ↓ [切り取り、コピー、貼り付け]欄  −[コンテンツを貼り付けるときに[貼り付けオプション]ボタンを表示する]チェックボックスをOffに  ↓ [Wordのオプション]ダイアログ  −[OK]ボタンをクリック 読み込めるデータ数 Excel2010より以前のバージョンでは、データ数(行数)が65535までであったが、2010か...
  • 数値解析
    数値解析の導入 大学時に作成した数値解法入門のレジメ 参考資料 太陽電池モデルの計算 太陽電池モデルの計算式は、解析的に解くことが難しいため、数値的な解法が良い。ここでは2分法を使用している。 参考資料1 参考資料2 ソースコード WHLモデルシミュレーション ホイール(DCモータ)の回転数シミュレーション ソースコード 簡易流体シミュレーション 非圧縮流体のキャビティシミュレーション。参考資料やソースコードは下記を参照のこと。 参考資料 ソースコード グラフ化用のGnuplotスクリプト 熱放射シミュレーション 宇宙環境下における熱収支の簡易シミュレーション 参考資料 ソースコード FRAMモンテカルロシミュレーション ビット反転をモンテカルロ法にてシミュレーション 参考資料 ソースコード Shoo...
  • Linux
    必要なrpmパッケージをGETするには? yumのyum-plugin-downloadonlyを使うと良い。まずは、yumにプラグインをインストールする。インストールにはネットにつながっている必要あり。 yum install yum-plugin-downloadonly これでパッケージのみをDLすることができるようになります。 例えば、httdを新規にインストールしようとして、rpmパッケージだけGETするには以下のようにします。あらかじめ、/tmp/rpmsディレクトリを作っておくこと。 yum install --downloadonly --downloaddir=/tmp/rpms httpd 不足しているrpmパッケージを調べるには? yumコマンドを使うと良い。hogehogeが調べたいパッケージ(例えば、libc.so.6) yum prov...
  • 備忘録
    DSUBハーネス実装のコツ ハーネスに癖を付ける際は、竹串を使うと良い。 サーマルストリッパーで剥いた後は、予備半田する。 予備半田後に、銅線を90度折り曲げる。 TOP面とBOTTOM面の両方から半田する。 印刷がロックされたPDFの解除方法 FireFox(ブラウザ)で、対象のPDFファイルを開く。 ブラウザ上で、PDF印刷を行う。 印刷のロックが解除されたPDFが生成される。 動画出力の手順 たまにしかやらないのでメモ 動画を完成させます ゆっくりMovieMakerで出力 Aviutilで*.avi出力(未圧縮かMicrosoftなんちゃら) AnyVideoConverterで動画形式をMP4に変換 親作品メモ sm20953272 ゆっくりムービーメーカー nm13304126 BGM 投稿画像サイズ 854×480(16 9) ゆっくり設定 霊夢:3...
  • 雑学
    星座早見 個人的には、「Stella Theater Lite」がシンプルでOK。凝ったのだと「Stellarium」がすごい。 国立天文台 フリーソフト プチプチ ネジ洗浄 納品されたネジは、洗浄する。 洗浄は超音波洗浄器を使用する。媒質はIPAだが、全部にIPAをいれると勿体無いので、水を張り、ディスポカップの中にIPAと洗浄するネジを入れて洗浄する。
  • CPLD/FPGA
    CPLD 開発環境の確立 CPLDの勉強にヒューマンデータさんのCPLD学習ボードを購入した。XSP-019KITを購入した理由は、PLCC44ソケットなのでライターとしても使用できそうであったし、何よりXSP-019KITを使った参考書があったからだ。色々と問題にぶつかったので、状況を記録しておく。 1.ISEのバージョンが参考書と合わない まず、最初にぶつかった問題。参考書とザイリンクスで公開されているISE(CPLDの総合開発環境)のバージョンが合わない。バージョンが合わないとメニューやボタン、レイアウトがまったく違う。散々なやんだが、参考書の筆者のサポートページにISEの過去バージョンのリンクが貼ってある。その他、FAQなどもとても参考になった。 2.プリンタポートがない まず、手順を通そうとして、ぶつかったのがコレ。PCを新しくしてしまったため、レガシーポー...
  • EAGLE
    EAGLE用のライブラリ 個人的なEAGLEライブラリ zig_181003 EAGLE用のライブラリを公開しているサイト集 Share your files with the EAGLE-Community sparkfun/SparkFun-Eagle-Libraries EAGLEによる回路設計 EAGLEによる基板設計 GNDビアの打ち方 普通にVia(ビア)を打つ ビアの上で右クリック、Nameを選ぶ GNDに変更する 基板発注 FusionPCB 言わずと知れた?格安の中国基板製造業者。2層で10cm×10cm以内が一番安い。 むしろ輸送料の方が高い。いい時代になりました。 スイッチサイエンスPCB 窓口がスイッチサイエンスで中身はFusionPCB(と思われる)。 利点としては対応がすべて日本語 であること。また、納品時の箱が...
  • 電気回路
    プリアンプ治具 放射線試験(重粒子)で、SSDの出力を増幅するプリアンプの動作チェック治具を製作したので、そのメモを残す。 メモ I/Oピンを最適化/削減する方法 マイコンなどのI/Oの設計をしていると、全体での本数が足りなくなることが多々ある。そのような場合、I/Oピンの使い方を最適化すると、I/Oピンの本数を節約できることがある。 7セグLEDなどを使用する場合は、ドライバICを使うことで本数を減らせる(デメリットとして、ドライバによっては数字しか表示できなくなる)。 LEDなどは、ダイナミック点灯方式を採用することで、本数を減らせる。 DIPスイッチなどは、抵抗分圧(1k,2k,4k,8k~)した電圧値をA/Dで取り込めば、1本で済む。 マルチプレクサを使用する。 回路チェックの観点参考 全体 定格内かどうか? 動作温度範囲内か? ディレーティングは満たしている...
  • @wiki全体から「perl」で調べる

更新順にページ一覧表示 | 作成順にページ一覧表示 | ページ名順にページ一覧表示 | wiki内検索