bambooflow Note

定義一覧

最終更新:

bambooflow

- view
メンバー限定 登録/ログイン

コアとなる言語クラス定義



ヘッダファイル

SystemCを使うには次のヘッダファイルをインクルードする。
  • #include "systemc.h"
#include "systemc.h"

  • #include "systemc"
#include "systemc"
using sc_core::sc_module;
using sc_core::sc_signal;
using sc_core::SC_NS;
using sc_core::sc_start;
using sc_dt::sc_logic;

#include <iostream>
using std::ofstream;
using std::cout;
using std::endl;

コアクラス一覧


用意されたチャネルクラス定義

チャネルクラス一覧



チャネル接続の対応表

入力 チャネル 出力
sc_port<sc_signal_in_if<X> > sc_signal<X>
sc_buffer<X>
sc_port<sc_signal_out_if<X> >
sc_port<sc_signal_inout_if<X> > sc_port<sc_signal_inout_if<X> >
sc_in<X> sc_out<X>
sc_inout<X> sc_inout<X>
sc_in<bool> sc_clock
sc_in_resolved sc_signal_resolved sc_out_resolved
sc_inout_resolved sc_inout_resolved
sc_in_rv<W> sc_signal_rv<W> sc_out_rv<W>
sc_inout_rv<W> sc_inout_rv<W>
sc_port<sc_fifo_in_if<X> > sc_fifo<X> sc_port<sc_fifo_out_if<X> >
sc_fifo_in<X> sc_fifo_out<X>
  • X : 型(bool,unsigned char,int,sc_uint<>, sc_int<>, etc.)
  • W : ビット幅

アクセス チャネル
sc_port<sc_mutex_if> sc_mutex
sc_port<sc_semaphore_if> sc_semaphore

タグ:

SystemC クラス
記事メニュー
目安箱バナー